This website uses cookies. By using this site, you consent to the use of cookies. For more information, please take a look at our Privacy Policy.
AD9648TCPZ125EPRL7

AD9648 Series

14-Bit, 125 MSPS/105 MSPS, 1.8 V Dual Analog-to-Digital Converter
Mfr.Part# Description Package/Case In Stock Operation
AD9648TCPZ125EPRL7 14 Bit Analog to Digital Converter 2 Input 2 Pipelined 64-LFCSP (9x9) LFCSP-64 3,888 Add to BOM
AD9648TCPZ-125-EP 14 Bit Analog to Digital Converter 2 Input 2 Pipelined 64-LFCSP (9x9) LFCSP-64 2,686 Add to BOM
AD9648BCPZRL7-125 Analog to Digital Converters - ADC 14Bit125MSPS Dual 1.8V ADC parallel LVDS LFCSP-64 3,101 Add to BOM
AD9648BCPZRL7-105 Compact dual ADC design for space-constrained systems and desig LFCSP-64 2,372 Add to BOM
AD9648BCPZ-125 14 Bit Analog to Digital Converter 2 Input 2 Pipelined 64-LFCSP-VQ (9x9) LFCSP64 3,237 Add to BOM
AD9648BCPZ-105 14 Bit Analog to Digital Converter 2 Input 2 Pipelined 64-LFCSP-VQ (9x9) LFCSP-64 2,503 Add to BOM